ACM Digital Library PUBLICATIONS

This page listed all my papers copyrighted by ACM. They are posted here and enabled by ACM Author-Izer service, to ensure timely dissemination of research work with no commercial purpose.

For a complete list of the publication, please visit Yuan Xie's Publication Page
ACM DL Author-ize serviceMaking B+-tree efficient in PCM-based main memory
Ping Chi, Wang-Chien Lee, Yuan Xie
ISLPED '14 Proceedings of the 2014 international symposium on Low power electronics and design, 2014
ACM DL Author-ize serviceEnabling high-performance LPDDRx-compatible MRAM
Jue Wang, Xiangyu Dong, Yuan Xie
ISLPED '14 Proceedings of the 2014 international symposium on Low power electronics and design, 2014
ACM DL Author-ize servicePreventing STT-RAM Last-Level Caches from Port Obstruction
Jue Wang, Xiangyu Dong, Yuan Xie
ACM Transactions on Architecture and Code Optimization (TACO), 2014
ACM DL Author-ize serviceDesign Methodologies for 3D Mixed Signal Integrated Circuits: a Practical 12-bit SAR ADC Design Case
Wulong Liu, Guoqing Chen, Xue Han, Yu Wang, Yuan Xie, Huazhong Yang
DAC '14 Proceedings of the The 51st Annual Design Automation Conference on Design Automation Conference, 2014
ACM DL Author-ize serviceNoC-Sprinting: Interconnect for Fine-Grained Sprinting in the Dark Silicon Era
Jia Zhan, Yuan Xie, Guangyu Sun
DAC '14 Proceedings of the The 51st Annual Design Automation Conference on Design Automation Conference, 2014
ACM DL Author-ize serviceRun-Time Technique for Simultaneous Aging and Power Optimization in GPGPUs
Xiaoming Chen, Yu Wang, Yun Liang, Yuan Xie, Huazhong Yang
DAC '14 Proceedings of the The 51st Annual Design Automation Conference on Design Automation Conference, 2014
ACM DL Author-ize serviceCompact Models and Model Standard for 2.5D and 3D Integration
Qiaosha Zou, Yuan Xie
SLIP '14 Proceedings of SLIP (System Level Interconnect Prediction) on System Level Interconnect Prediction Workshop, 2014
ACM DL Author-ize serviceThermal-Sustainable Power Budgeting for Dynamic Threading
Xing Hu, Yi Xu, Jun Ma, Guoqing Chen, Yu Hu, Yuan Xie
DAC '14 Proceedings of the The 51st Annual Design Automation Conference on Design Automation Conference, 2014
ACM DL Author-ize serviceReliability-aware cross-point resistive memory design
Cong Xu, Dimin Niu, Yang Zheng, Shimeng Yu, Yuan Xie
GLSVLSI '14 Proceedings of the 24th edition of the great lakes symposium on VLSI, 2014
ACM DL Author-ize service3D-SWIFT: a high-performance 3D-stacked wide IO DRAM
Tao Zhang, Cong Xu, Ke Chen, Guangyu Sun, Yuan Xie
GLSVLSI '14 Proceedings of the 24th edition of the great lakes symposium on VLSI, 2014
ACM DL Author-ize serviceTSV power supply array electromigration lifetime analysis in 3D ICS
Qiaosha Zou, Tao Zhang, Cong Xu, Yuan Xie
GLSVLSI '14 Proceedings of the 24th edition of the great lakes symposium on VLSI, 2014
ACM DL Author-ize serviceOn-Chip Hybrid Power Supply System for Wireless Sensor Nodes
Wulong Liu, Yu Wang, Yuchun Ma, Yuan Xie, Huazhong Yang
ACM Journal on Emerging Technologies in Computing Systems (JETC), 2014
ACM DL Author-ize serviceKiln: closing the performance gap between systems with and without persistence support
Jishen Zhao, Sheng Li, Doe Hyun Yoon, Yuan Xie, Norman P. Jouppi
MICRO-46 Proceedings of the 46th Annual IEEE/ACM International Symposium on Microarchitecture, 2013
ACM DL Author-ize serviceOptimizing bandwidth and power of graphics memory with hybrid memory technologies and adaptive data migration
Jishen Zhao, Yuan Xie
ICCAD '12 Proceedings of the International Conference on Computer-Aided Design, 2012
ACM DL Author-ize serviceDesign trade-offs for high density cross-point resistive memory
Dimin Niu, Cong Xu, Naveen Muralimanohar, Norman P. Jouppi, Yuan Xie
ISLPED '12 Proceedings of the 2012 ACM/IEEE international symposium on Low power electronics and design, 2012
ACM DL Author-ize serviceEnergy-efficient GPU design with reconfigurable in-package graphics memory
Jishen Zhao, Guangyu Sun, Gabriel H. Loh, Yuan Xie
ISLPED '12 Proceedings of the 2012 ACM/IEEE international symposium on Low power electronics and design, 2012
ACM DL Author-ize servicePoint and discard: a hard-error-tolerant architecture for non-volatile last level caches
Jue Wang, Xiangyu Dong, Yuan Xie
DAC '12 Proceedings of the 49th Annual Design Automation Conference, 2012
ACM DL Author-ize servicePerformance/Thermal-Aware Design of 3D-Stacked L2 Caches for CMPs
Guangyu Sun, Huazhong Yang, Yuan Xie
ACM Transactions on Design Automation of Electronic Systems (TODAES), 2012
ACM DL Author-ize serviceSystem-level design space exploration for three-dimensional (3D) SoCs
Qiaosha Zou, Yibo Chen, Yuan Xie, Alan Su
CODES+ISSS '11 Proceedings of the seventh IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis, 2011
ACM DL Author-ize serviceMoguls: a model to explore the memory hierarchy for bandwidth improvements
Guangyu Sun, Christopher J. Hughes, Changkyu Kim, Jishen Zhao, Cong Xu, Yuan Xie, Yen-Kuang Chen
ISCA '11 Proceedings of the 38th annual international symposium on Computer architecture, 2011
ACM DL Author-ize serviceArchitecting on-chip interconnects for stacked 3D STT-RAM caches in CMPs
Asit K. Mishra, Xiangyu Dong, Guangyu Sun, Yuan Xie, N. Vijaykrishnan, Chita R. Das
ISCA '11 Proceedings of the 38th annual international symposium on Computer architecture, 2011
ACM DL Author-ize serviceF2BFLY: an on-chip free-space optical network with wavelength-switching
Jin Ouyang, Chuan Yang, Dimin Niu, Yuan Xie, Zhiwen Liu
ICS '11 Proceedings of the international conference on Supercomputing, 2011
ACM DL Author-ize serviceEnabling architectural innovations using non-volatile memory
Vijaykrishnan Narayanan, Vinay Saripalli, Karthik Swaminathan, Ravindhiran Mukundrajan, Guangyu Sun, Yuan Xie, Suman Datta
GLSVLSI '11 Proceedings of the 21st edition of the great lakes symposium on Great lakes symposium on VLSI, 2011
ACM DL Author-ize service3D-nonFAR: three-dimensional non-volatile FPGA architecture using phase change memory
Yibo Chen, Jishen Zhao, Yuan Xie
ISLPED '10 Proceedings of the 16th ACM/IEEE international symposium on Low power electronics and design, 2010
ACM DL Author-ize serviceLow-power dual-element memristor based memory design
Dimin Niu, Yiran Chen, Yuan Xie
ISLPED '10 Proceedings of the 16th ACM/IEEE international symposium on Low power electronics and design, 2010
ACM DL Author-ize serviceCost-aware three-dimensional (3D) many-core multiprocessor design
Jishen Zhao, Xiangyu Dong, Yuan Xie
DAC '10 Proceedings of the 47th Design Automation Conference, 2010
ACM DL Author-ize serviceImpact of process variations on emerging memristor
Dimin Niu, Yiran Chen, Cong Xu, Yuan Xie
DAC '10 Proceedings of the 47th Design Automation Conference, 2010
ACM DL Author-ize serviceLeveraging 3D PCRAM technologies to reduce checkpoint overhead for future exascale systems
Xiangyu Dong, Naveen Muralimanohar, Norm Jouppi, Richard Kaufmann, Yuan Xie
SC '09 Proceedings of the Conference on High Performance Computing Networking, Storage and Analysis, 2009
ACM DL Author-ize serviceIntrinsic NBTI-variability aware statistical pipeline performance assessment and tuning
Balaji Vaidyanathan, Anthony S. Oates, Yuan Xie
ICCAD '09 Proceedings of the 2009 International Conference on Computer-Aided Design, 2009
ACM DL Author-ize servicePCRAMsim: system-level performance, energy, and area modeling for phase-change ram
Xiangyu Dong, Norman P. Jouppi, Yuan Xie
ICCAD '09 Proceedings of the 2009 International Conference on Computer-Aided Design, 2009
ACM DL Author-ize serviceCheckerCore: enhancing an FPGA soft core to capture worst-case execution times
Jin Ouyang, Raghuveer Raghavendra, Sibin Mohan, Tao Zhang, Yuan Xie, Frank Mueller
CASES '09 Proceedings of the 2009 international conference on Compilers, architecture, and synthesis for embedded systems, 2009
ACM DL Author-ize serviceEmerging technologies and their impact on system design
Norm Jouppi, Yuan Xie
ISLPED '09 Proceedings of the 2009 ACM/IEEE international symposium on Low power electronics and design, 2009
ACM DL Author-ize serviceExploration of 3D stacked L2 cache design for high performance and efficient thermal control
Guangyu Sun, Xiaoxia Wu, Yuan Xie
ISLPED '09 Proceedings of the 2009 ACM/IEEE international symposium on Low power electronics and design, 2009
ACM DL Author-ize serviceHybrid cache architecture with disparate memory technologies
Xiaoxia Wu, Jian Li, Lixin Zhang, Evan Speight, Ram Rajamony, Yuan Xie
ISCA '09 Proceedings of the 36th annual international symposium on Computer architecture, 2009
ACM DL Author-ize serviceMIRA: A Multi-layered On-Chip Interconnect Router Architecture
Dongkook Park, Soumya Eachempati, Reetuparna Das, Asit K. Mishra, Yuan Xie, N. Vijaykrishnan, Chita R. Das
ISCA '08 Proceedings of the 35th Annual International Symposium on Computer Architecture, 2008
ACM DL Author-ize serviceA variation aware high level synthesis framework
Feng Wang, Guangyu Sun, Yuan Xie
DATE '08 Proceedings of the conference on Design, automation and test in Europe, 2008
ACM DL Author-ize serviceGuaranteeing performance yield in high-level synthesis
W.-L. Hung, Xiaoxia Wu, Yuan Xie
ICCAD '06 Proceedings of the 2006 IEEE/ACM international conference on Computer-aided design, 2006
ACM DL Author-ize serviceFLAW: FPGA lifetime awareness
Suresh Srinivasan, Prasanth Mangalagiri, Yuan Xie, N. Vijaykrishnan, Karthik Sarpatwari
DAC '06 Proceedings of the 43rd annual Design Automation Conference, 2006
ACM DL Author-ize serviceDesign space exploration for 3D architectures
Yuan Xie, Gabriel H. Loh, Bryan Black, Kerry Bernstein
ACM Journal on Emerging Technologies in Computing Systems (JETC), 2006
ACM DL Author-ize serviceLow-leakage robust SRAM cell design for sub-100nm technologies
Shengqi Yang, Wayne Wolf, Wenping Wang, N. Vijaykrishnan, Yuan Xie
ASP-DAC '05 Proceedings of the 2005 Asia and South Pacific Design Automation Conference, 2005
ACM DL Author-ize serviceDesigning reliable circuit in the presence of soft errors
Vijaykrishnan Narayanan, Yuan Xie, Mary Jane Irwin
ASP-DAC '05 Proceedings of the 2005 Asia and South Pacific Design Automation Conference, 2005
ACM DL Author-ize serviceDesign of a nanosensor array architecture
Wei Xu, N. Vijaykrishnan, Y. Xie, M. J. Irwin
GLSVLSI '04 Proceedings of the 14th ACM Great Lakes symposium on VLSI, 2004
ACM DL Author-ize serviceCode compression for VLIW processors using variable-to-fixed coding
Yuan Xie, Wayne Wolf, Haris Lekatsas
ISSS '02 Proceedings of the 15th international symposium on System Synthesis, 2002
ACM DL Author-ize serviceCo-synthesis with custom ASICs
Yuan Xie, Wayne Wolf
ASP-DAC '00 Proceedings of the 2000 Asia and South Pacific Design Automation Conference, 2000